[1] Madsen, M. H. & Hansen, P.-E. Scatterometry – fast and robust measurements of nano-textured surfaces. Surface Topography: Metrology and Properties 4, 023003 (2016). doi: 10.1088/2051-672X/4/2/023003
[2] Ferreras Paz, V. et al. Solving the inverse grating problem by white light interference Fourier scatterometry. Light: Science & Applications 1, e36 (2012). doi: 10.1038/lsa.2012.36
[3] Hazart, J. et al. Spectroscopic ellipsometric scatterometry: sources of errors in critical dimension control. Proceedings of SPIE 5041, Process and Materials Characterization and Diagnostics in IC Manufacturing. Santa Clara, CA, United States: SPIE, 2003, 9-20. doi: 10.1117/12.485218
[4] Kleinknecht, H. P. & Meier, H. Optical monitoring of the etching of SiO2 and Si3N4 on Si by the use of grating test patterns. Journal of The Electrochemical Society 125, 798-803 (1978). doi: 10.1149/1.2131551
[5] Ziger, D. H., Adams, T. E. & Garofalo, J. G. Linesize effects on ultraviolet reflectance spectra. Optical Engineering 36, 243-250 (1997). doi: 10.1117/1.601167
[6] Wurm, M. et al. Metrology of nanoscale grating structures by UV scatterometry. Optics Express 25, 2460-2468 (2017). doi: 10.1364/OE.25.002460
[7] Siaudinyte, L. & Pereira, S. F. Far-field sectioning for the retrieval of subwavelength grating parameters using coherent Fourier scatterometry. Measurement Science and Technology 31, 104005 (2020). doi: 10.1088/1361-6501/ab7315
[8] Feke, G. D. et al. Interferometric back focal plane microellipsometry. Applied Optics 37, 1796-1802 (1998). doi: 10.1364/AO.37.001796
[9] Petrik, P. et al. Fourier ellipsometry – an ellipsometric approach to Fourier scatterometry. Journal of the European Optical Society – Rapid Publications 10, 15002 (2015). doi: 10.2971/jeos.2015.15002
[10] Novikova, T. et al. Metrology of replicated diffractive optics with Mueller polarimetry in conical diffraction. Optics Express 15, 2033-2046 (2007). doi: 10.1364/OE.15.002033
[11] Korde, M. et al. Optical characterization of multi-NST nanowire test structures using Mueller matrix spectroscopic ellipsometry (MMSE) based scatterometry for sub 5 nm nodes. Proceedings of SPIE 10959, Metrology, Inspection, and Process Control for Microlithography XXXIII. San Jose, California, United States: SPIE, 2019, 109590X. doi: 10.1117/12.2514748
[12] Ben Hatit, S. et al. Angle-resolved Mueller polarimeter using a microscope objective. Physica Status Solidi A 205, 743-747 (2008). doi: 10.1002/pssa.200777806
[13] Tan, Y. et al. Development of a tomographic Mueller-matrix scatterometer for nanostructure metrology. Review of Scientific Instruments 89, 073702 (2018). doi: 10.1063/1.5034440
[14] De Lega, X. C. & de Groot, P. J. Characterization of materials and film stacks for accurate surface topography measurement using a white-light optical profiler. Proceedings of SPIE 6995, Optical Micro- and Nanometrology in Microsystems Technology II. Strasbourg, France: SPIE, 2008, 69950P. doi: 10.1117/12.782836
[15] Gödecke, M. L., Frenner, K. & Osten, W. White-light Mueller-matrix Fourier scatterometry for the characterization of nanostructures with large parameter spaces. Proceedings of SPIE 11325, Metrology, Inspection, and Process Control for Microlithography XXXIV. San Jose, California, United States: SPIE, 2020, 113250V. doi: 10.1117/12.2551276
[16] Silver, R. M. et al. Scatterfield microscopy for extending the limits of image-based optical metrology. Applied Optics 46, 4248-4257 (2007). doi: 10.1364/AO.46.004248
[17] Leonhardt, K., Droste, U. & Tiziani, H. Interferometry for Ellipso-Height-Topometry – part 1: coherence scanning on the base of spacial coherence. Optik 113, 513-519 (2003). doi: 10.1078/0030-4026-00200
[18] Malacara, D. & DeVore, S. L. Interferogram Evaluation and Wavefront Fitting. in Optical Shop Testing 2nd edn (ed Malacara, D.), Ch. 13 (New York: Wiley, 1992). doi: 10.1002/9780470135976.ch13
[19] Schulz, G. & Elssner, K.-E. Errors in phase-measurement interferometry with high numerical apertures. Applied Optics 30, 4500-4506 (1991). doi: 10.1364/AO.30.004500
[20] Azzam, R. M. A. & Bashara, N. M. Ellipsometry and polarized light. (New York: North-Holland Publishing Company, 1977). doi: 10.1016/0030-3992(78)90108-1
[21] Moharam, M. G. et al. Formulation for stable and efficient implementation of the rigorous coupled-wave analysis of binary gratings. Journal of the Optical Society of America A 12, 1068-1076 (1995). doi: 10.1364/JOSAA.12.001068
[22] Moharam, M. G. et al. Stable implementation of the rigorous coupled-wave analysis for surface-relief gratings: enhanced transmittance matrix approach. Journal of the Optical Society of America A 12, 1077-1086 (1995). doi: 10.1364/JOSAA.12.001077
[23] Li, L. New formulation of the Fourier modal method for crossed surface-relief gratings. Journal of the Optical Society of America A 14, 2758-2767 (1997). doi: 10.1364/JOSAA.14.002758
[24] Totzeck, M. Numerical simulation of high-NA quantitative polarization microscopy and corresponding near-fields. Optik 112, 399-406 (2001). doi: 10.1078/0030-4026-00085
[25] Schuster, T. et al. Normal vector method for convergence improvement using the RCWA for crossed gratings. Journal of the Optical Society of America A 24, 2880-2890 (2007). doi: 10.1364/JOSAA.24.002880
[26] Götz, P. et al. Normal vector method for the RCWA with automated vector field generation. Optics Express 16, 17295-17301 (2008). doi: 10.1364/OE.16.017295
[27] Palik, E. D. Handbook of Optical Constants of Solids. (New York: Academic Press, 1985). doi: 10.1016/c2009-0-20920-2
[28] Jäger, G. et al. Nanopositioning and nanomeasuring machine NPMM-200 – a new powerful tool for large-range micro- and nanotechnology. Surface Topography: Metrology and Properties 4, 034004 (2016). doi: 10.1088/2051-672X/4/3/034004
[29] Raymond, C. J. et al. Comparison of solutions to the scatterometry inverse problem. Proceedings of SPIE 5375, Metrology, Inspection, and Process Control for Microlithography XVIII. Santa Clara, California, United States: SPIE, 2004, 564-575.
[30] Bilski, B., Frenner, K. & Osten, W. Effective-CD: a contribution toward the consideration of line edge roughness in the scatterometric critical dimension metrology. Journal of Micro/Nanolithography,MEMS,and MOEMS 16, 024002 (2017). doi: 10.1117/1.JMM.16.2.024002